Follow
Mikko Lipasti
Mikko Lipasti
Professor, Electrical and Computer Engineering, University of Wisconsin-Madison
Verified email at engr.wisc.edu - Homepage
Title
Cited by
Cited by
Year
Value locality and load value prediction
MH Lipasti, CB Wilkerson, JP Shen
Proceedings of the seventh international conference on Architectural support …, 1996
9651996
Exceeding the dataflow limit via value prediction
MH Lipasti, JP Shen
Proceedings of the 29th Annual IEEE/ACM International Symposium on …, 1996
7501996
Modern processor design: fundamentals of superscalar processors
JP Shen, MH Lipasti
Waveland Press, 2013
6212013
Virtual circuit tree multicasting: A case for on-chip hardware multicast support
NE Jerger, LS Peh, M Lipasti
ACM SIGARCH Computer Architecture News 36 (3), 229-240, 2008
3162008
Scarab: A single cycle adaptive routing and bufferless network
M Hayenga, NE Jerger, M Lipasti
Proceedings of the 42nd annual IEEE/ACM international symposium on …, 2009
2112009
Achieving predictable performance through better memory controller placement in many-core CMPs
D Abts, ND Enright Jerger, J Kim, D Gibson, MH Lipasti
ACM SIGARCH Computer Architecture News 37 (3), 451-461, 2009
2082009
Circuit-switched coherence
NDE Jerger, LS Peh, MH Lipasti
Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), 193-202, 2008
1892008
An architectural evaluation of Java TPC-W
HW Cain, R Rajwar, M Marden, MH Lipasti
Proceedings HPCA Seventh International Symposium on High-Performance …, 2001
1892001
On the value locality of store instructions
KM Lepak, MH Lipasti
Proceedings of the 27th annual international symposium on Computer …, 2000
1812000
SPAID: Software prefetching in pointer-and call-intensive environments
MH Lipasti, WJ Schmidt, SR Kunkel, RR Roediger
Proceedings of the 28th annual international symposium on Microarchitecture …, 1995
1771995
Improving multiprocessor performance with coarse-grain coherence tracking
JF Cantin, MH Lipasti, JE Smith
32nd International Symposium on Computer Architecture (ISCA'05), 246-257, 2005
1582005
Light speed arbitration and flow control for nanophotonic interconnects
D Vantrease, N Binkert, R Schreiber, MH Lipasti
Proceedings of the 42nd Annual IEEE/ACM International Symposium on …, 2009
1552009
BenchNN: On the broad potential application scope of hardware neural network accelerators
T Chen, Y Chen, M Duranton, Q Guo, A Hashmi, M Lipasti, A Nere, S Qiu, ...
2012 IEEE International Symposium on Workload Characterization (IISWC), 36-45, 2012
1372012
Superspeculative microarchitecture for beyond AD 2000
MH Lipasti, JP Shen
Computer 30 (9), 59-66, 1997
1321997
Silent stores for free
KM Lepak, MH Lipasti
Proceedings of the 33rd annual ACM/IEEE international symposium on …, 2000
1312000
Precise and accurate processor simulation
HW Cain, KM Lepak, BA Schwartz, MH Lipasti
Workshop on Computer Architecture Evaluation using Commercial Workloads, HPCA 8, 2002
1302002
Physical register inlining
MH Lipasti, BR Mestan, E Gunadi
ACM SIGARCH Computer Architecture News 32 (2), 325, 2004
1182004
Memory ordering: A value-based approach
HW Cain, MH Lipasti
ACM SIGARCH Computer Architecture News 32 (2), 90, 2004
1142004
Half-price architecture
I Kim, MH Lipasti
Proceedings of the 30th annual international symposium on Computer …, 2003
1052003
Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence
NDE Jerger, LS Peh, MH Lipasti
2008 41st IEEE/ACM International Symposium on Microarchitecture, 35-46, 2008
1032008
The system can't perform the operation now. Try again later.
Articles 1–20