Follow
John Kim
John Kim
Professor, School of Electrical Engineering KAIST
Verified email at kaist.edu - Homepage
Title
Cited by
Cited by
Year
Technology-driven, highly-scalable dragonfly topology
J Kim, WJ Dally, S Scott, D Abts
ACM SIGARCH Computer Architecture News 36 (3), 77-88, 2008
10422008
A detailed and flexible cycle-accurate network-on-chip simulator
N Jiang, J Balfour, DU Becker, B Towles, WJ Dally, G Michelogiannakis, ...
Performance Analysis of Systems and Software (ISPASS), 2013 IEEE …, 2013
8452013
Flattened butterfly: a cost-efficient topology for high-radix networks
J Kim, WJ Dally, D Abts
ACM SIGARCH Computer Architecture News 35 (2), 126-137, 2007
6462007
Flattened butterfly topology for on-chip networks
J Kim, J Balfour, W Dally
Proceedings of the 40th Annual IEEE/ACM International Symposium on …, 2007
5942007
Firefly: illuminating future network-on-chip with nanophotonics
Y Pan, P Kumar, J Kim, G Memik, Y Zhang, A Choudhary
ACM SIGARCH Computer Architecture News 37 (3), 429-440, 2009
5322009
The blackwidow high-radix clos network
S Scott, D Abts, J Kim, WJ Dally
ACM SIGARCH Computer Architecture News 34 (2), 16-28, 2006
3232006
Microarchitecture of a high radix router
J Kim, WJ Dally, B Towles, AK Gupta
Computer Architecture, 2005. ISCA'05. Proceedings. 32nd International …, 2005
3232005
Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar
Y Pan, J Kim, G Memik
HPCA-16 2010 The Sixteenth International Symposium on High-Performance …, 2010
2472010
Low-cost router microarchitecture for on-chip networks
J Kim
Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International …, 2009
2202009
Improving GPGPU resource utilization through alternative thread block scheduling
M Lee, S Song, J Moon, J Kim, W Seo, Y Cho, S Ryu
High Performance Computer Architecture (HPCA), 2014 IEEE 20th International …, 2014
2112014
Achieving predictable performance through better memory controller placement in many-core cmps
D Abts, ND Enright Jerger, J Kim, D Gibson, MH Lipasti
ACM SIGARCH Computer Architecture News 37 (3), 451-461, 2009
2052009
Throughput-Effective On-Chip Networks for Manycore Accelerators
A Bakhoda, J Kim, TM Aamodt
Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on …, 2010
2032010
Indirect adaptive routing on large scale interconnection networks
N Jiang, J Kim, WJ Dally
ACM SIGARCH Computer Architecture News 37 (3), 220-231, 2009
1802009
Memory-centric system interconnect design with hybrid memory cubes
G Kim, J Kim, JH Ahn, J Kim
Proceedings of the 22nd international conference on Parallel architectures …, 2013
1782013
HPCCD: Hybrid parallel continuous collision detection using CPUs and GPUs
D Kim, JP Heo, J Huh, J Kim, S Yoon
Computer Graphics Forum 28 (7), 1791-1800, 2009
1242009
Cost-Efficient Dragonfly Topology for Large-Scale Systems
J Kim, W Dally, S Scott, D Abts
Micro, IEEE 29 (1), 33-40, 2009
1032009
Adaptive routing in high-radix clos network
J Kim, WJ Dally, D Abts
SC 2006 Conference, Proceedings of the ACM/IEEE, 7-7, 2006
972006
High Performance Datacenter Networks: Architectures, Algorithms, and Opportunities
D Abts, J Kim
Synthesis Lectures on Computer Architecture 6 (1), 1-115, 2011
962011
Approximating age-based arbitration in on-chip networks
MM Lee, J Kim, D Abts, M Marty, JW Lee
Proceedings of the 19th international conference on Parallel architectures …, 2010
942010
BTS: An accelerator for bootstrappable fully homomorphic encryption
S Kim, J Kim, MJ Kim, W Jung, J Kim, M Rhu, JH Ahn
Proceedings of the 49th Annual International Symposium on Computer …, 2022
922022
The system can't perform the operation now. Try again later.
Articles 1–20