Follow
Dhananjaya Wijerathne
Dhananjaya Wijerathne
Verified email at comp.nus.edu.sg
Title
Cited by
Cited by
Year
REVAMP: A systematic framework for heterogeneous CGRA realization
TK Bandara, D Wijerathne, T Mitra, LS Peh
Proceedings of the 27th ACM International Conference on Architectural …, 2022
402022
Chordmap: Automated mapping of streaming applications onto cgra
Z Li, D Wijerathne, X Chen, A Pathania, T Mitra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
342021
Himap: Fast and scalable high-quality mapping on cgra via hierarchical abstraction
D Wijerathne, Z Li, A Pathania, T Mitra, L Thiele
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
322021
Cascade: High throughput data streaming via decoupled access-execute cgra
D Wijerathne, Z Li, M Karunarathne, A Pathania, T Mitra
ACM Transactions on Embedded Computing Systems (TECS) 18 (5s), 1-26, 2019
322019
4D-CGRA: Introducing branch dimension to spatio-temporal application mapping on CGRAs
M Karunaratne, D Wijerathne, T Mitra, LS Peh
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
282019
Lisa: Graph neural network based portable mapping on spatial accelerators
Z Li, D Wu, D Wijerathne, T Mitra
2022 IEEE International Symposium on High-Performance Computer Architecture …, 2022
242022
PANORAMA: Divide-and-conquer approach for mapping complex loop kernels on CGRA
D Wijerathne, Z Li, TK Bandara, T Mitra
Proceedings of the 59th ACM/IEEE Design Automation Conference, 127-132, 2022
132022
Morpher: An open-source integrated compilation and simulation framework for CGRA
D Wijerathne, Z Li, M Karunaratne, LS Peh, T Mitra
Fifth Workshop on Open-Source EDA Technology (WOSET), 2022
112022
Runtime rule-reconfigurable high throughput NIPS on FPGA
PMK Tharaka, DMD Wijerathne, N Perera, D Vishwajith, A Pasqual
2017 International Conference on Field Programmable Technology (ICFPT), 251-254, 2017
72017
Power-performance characterization of tinyml systems
Y Zhang, D Wijerathne, Z Li, T Mitra
2022 IEEE 40th International Conference on Computer Design (ICCD), 644-651, 2022
42022
Coarse grained reconfigurable array CGRA
Z Li, D Wijerathne, T Mitra
Book Chapter in Springer Handbook of Computer Architecture, 2022
32022
FLEX: Introducing FLEXible Execution on CGRA with Spatio-Temporal Vector Dataflow
TK Bandara, D Wu, R Juneja, D Wijerathne, T Mitra, LS Peh
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
2023
Accelerating Edge AI with Morpher: An Integrated Design, Compilation and Simulation Framework for CGRAs
D Wijerathne, Z Li, T Mitra
arXiv preprint arXiv:2309.06127, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–13