Follow
Kailash Prasad
Title
Cited by
Cited by
Year
FPAD: a multistage approximation methodology for designing floating point approximate dividers
K Prasad, CK Jha, VK Srivastava, J Mekie
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2020
72020
SEDAAF: FPGA based single exact dual approximate adders for approximate processors
CK Jha, K Prasad, AS Tomar, J Mekie
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2020
62020
An Automated Approach to Compare Bit Serial and Bit Parallel In-Memory Computing for DNNs
K Prasad, A Parmar, Rao,Nanditha, J Mekie
2022 IEEE International Symposium on Circuits and Systems, 1-5, 2022
32022
Hardware-Software Codesign of DNN Accelerators using Approximate Posit Multipliers
K Prasad*, T Glint*, J Dagli, K Gandhi, A Gupta, V Patel, N Shah, J Mekie
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
22023
Analysis of word line shaping techniques for in-memory computing in SRAMs
K Prasad, A Biswas, J Mekie
2021 28th IEEE International Conference on Electronics, Circuits, and …, 2021
22021
PIC-RAM: Process-Invariant Capacitive Multiplier Based Analog In Memory Computing in 6T SRAM
K Prasad, A Biswas, A Kabra, J Mekie
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
12023
FastMem: a fast architecture-aware memory layout design
A Parmar, K Prasad, N Rao, J Mekie
2022 23rd International Symposium on Quality Electronic Design (ISQED), 120-126, 2022
12022
SDR-PUF: Sequence-Dependent Reconfigurable SRAM PUF with an Exponential CRP Space
K Prasad, N Shah, J Dagli, J Mekie
2024 37th International Conference on VLSI Design and 2023 23rd …, 2024
2024
FP-ATM: A Flexible Floating Point NOR Adder Tree Macro for In-Memory Computing
Y Attuluri, R Chudasama, K Prasad, J Mekie
2024 37th International Conference on VLSI Design and 2023 23rd …, 2024
2024
FP-BMAC: Efficient Approximate Floating-Point Bit-Parallel MAC Processor using IMC
S Gajawada, A Gupta, K Prasad, J Mekie
2024 37th International Conference on VLSI Design and 2023 23rd …, 2024
2024
Hybrid CMOS-Memristor Logic for Boosting the Power-Efficiency in Error Tolerant Applications
M Pokharia, K Prasad, R Hegde, J Mekie
2024 37th International Conference on VLSI Design and 2023 23rd …, 2024
2024
Robust and High Performance Digital In-Memory Computing in 5T Gain Cell Embedded DRAM
K Prasad, B Rajat, J Mekie
27th International Symposium on VLSI Design and Test (VDAT-2023), 2023
2023
PVC-RAM:Process Variation Aware Charge Domain In-Memory Computing 6T-SRAM for DNNs
S Shubham, S Pandit, K Prasad, J Mekie
2023 60th Design Automation Conference, 2023
2023
Process Variation Resilient Current-Domain Analog In Memory Computing
K Prasad, S Shubham, A Biswas, J Mekie
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-2, 2023
2023
Fast and Robust Sense Amplifier for Digital In Memory Computing
K Prasad, A Srivastava, N Baruah, J Mekie
2023 36th International Conference on VLSI Design and 2023 22nd …, 2023
2023
HyGain: High Performance, Energy-Efficient Hybrid Gain Cell based Cache Hierarchy
S Singh, N Surana, K Prasad, P Jain, M Awasthi, J Mekie
ACM Transactions on Architecture and Code Optimization, 2023
2023
Impact of operand ordering in approximate multiplication in neural network and image processing applications
K Prasad, J Dagli, N Shah, M Pidagannavar, J Mekie
International Symposium on VLSI Design and Test, 562-572, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–17