Follow
Takeshi Ikenaga
Title
Cited by
Cited by
Year
Content based hierarchical fast coding unit decision algorithm for HEVC
J Leng, L Sun, T Ikenaga, S Sakaida
2011 International Conference on Multimedia and Signal Processing 1, 56-59, 2011
1732011
A contour-based robust algorithm for text detection in color images
Y Liu, S Goto, T Ikenaga
IEICE transactions on information and systems 89 (3), 1221-1230, 2006
1052006
HDTV1080p H. 264/AVC encoder chip design and performance analysis
Z Liu, Y Song, M Shao, S Li, L Li, S Ishiwata, M Nakagawa, S Goto, ...
IEEE Journal of Solid-State Circuits 44 (2), 594-608, 2009
962009
Built-in self test circuit
T Ikenaga, J Takahashi
US Patent 5,301,199, 1994
881994
High performance VLSI architecture of fractional motion estimation in H. 264 for HDTV
C Yang, S Goto, T Ikenaga
2006 IEEE International Symposium on Circuits and Systems (ISCAS), 4 pp., 2006
702006
Fast HEVC intra mode decision using matching edge detector and kernel density estimation alike histogram generation
G Chen, Z Liu, T Ikenaga, D Wang
2013 IEEE International Symposium on Circuits and Systems (ISCAS), 53-56, 2013
642013
A 1.41 w h. 264/avc real-time encoder SOC for hdtv1080p
Z Liu, Y Song, M Shao, S Li, L Li, S Ishiwata, M Nakagawa, S Goto, ...
2007 IEEE Symposium on VLSI Circuits, 12-13, 2007
582007
System-in-silicon architecture and its application to H. 264/AVC motion estimation for 1080HDTV
K Kumagai, C Yang, H Izumino, N Narita, K Shinjo, S Iwashita, Y Nakaoka, ...
2006 IEEE International Solid State Circuits Conference-Digest of Technical …, 2006
572006
Partially-parallel LDPC decoder based on high-efficiency message-passing algorithm
K Shimizu, T Ishikawa, N Togawa, T Ikenaga, S Goto
2005 International Conference on Computer Design, 503-510, 2005
552005
A fully parallel 1-Mb CAM LSI for real-time pixel-parallel image processing
T Ikenaga, T Ogura
IEEE Journal of Solid-State Circuits 35 (4), 536-544, 2000
552000
An MRF model-based approach to the detection of rectangular shape objects in color images
Y Liu, T Ikenaga, S Goto
Signal Processing 87 (11), 2649-2658, 2007
492007
A robust algorithm for text detection in color images
Y Liu, S Goto, T Ikenaga
Eighth International Conference on Document Analysis and Recognition (ICDAR …, 2005
472005
Motion feature and hadamard coefficient-based fast multiple reference frame motion estimation for H. 264
Z Liu, L Li, Y Song, S Li, S Goto, T Ikenaga
IEEE Transactions on Circuits and Systems for Video Technology 18 (5), 620-632, 2008
462008
Two-chip MPEG-2 video encoder
T Kondo, K Suguri, M Ikeda, T Abe, H Matsuda, T Okubo, K Ogura, ...
IEEE Micro 16 (2), 51-58, 1996
441996
A CABAC encoding core with dynamic pipeline for H. 264/AVC main profile
L Li, Y Song, T Ikenaga, S Goto
APCCAS 2006-2006 IEEE Asia Pacific Conference on Circuits and Systems, 760-763, 2006
432006
A highly parallel architecture for deblocking filter in H. 264/AVC
L Li, S Goto, T Ikenaga
IEICE Transactions on Information and Systems 88 (7), 1623-1629, 2005
432005
Real-time morphology processing using highly parallel 2-d cellular automata cam/sup 2
T Ikenaga, T Ogura
IEEE Transactions on Image Processing 9 (12), 2018-2026, 2000
402000
Mathematical morphology processing method
T Ikenaga, T Ogura
US Patent 6,154,809, 2000
382000
Fast intra prediction for HEVC based on pixel gradient statistics and mode refinement
G Chen, Z Pei, L Sun, Z Liu, T Ikenaga
2013 IEEE China Summit and International Conference on Signal and …, 2013
372013
An efficient deblocking filter architecture with 2-dimensional parallel memory for H. 264/AVC
L Li, S Goto, T Ikenaga
Proceedings of the 2005 Asia and South Pacific Design Automation Conference …, 2005
332005
The system can't perform the operation now. Try again later.
Articles 1–20