Follow
Gaurav Kolhe
Title
Cited by
Cited by
Year
Security and complexity analysis of LUT-based obfuscation: From blueprint to reality
G Kolhe, HM Kamali, M Naicker, TD Sheaves, H Mahmoodi, PDS Manoj, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
552019
Rnn-based classifier to detect stealthy malware using localized features and complex symbolic sequence
S Shukla, G Kolhe, SM PD, S Rafatirad
2019 18th IEEE International Conference On Machine Learning And Applications …, 2019
422019
Stealthy malware detection using rnn-based automated localized feature extraction and classifier
S Shukla, G Kolhe, SM PD, S Rafatirad
2019 IEEE 31st international conference on tools with artificial …, 2019
372019
On custom lut-based obfuscation
G Kolhe, SM PD, S Rafatirad, H Mahmoodi, A Sasan, H Homayoun
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 477-482, 2019
372019
On-device malware detection using performance-aware and robust collaborative learning
S Shukla, PDS Manoj, G Kolhe, S Rafatirad
2021 58th ACM/IEEE Design Automation Conference (DAC), 967-972, 2021
352021
Estimating the circuit de-obfuscation runtime based on graph deep learning
Z Chen, G Kolhe, S Rafatirad, CT Lu, SM PD, H Homayoun, L Zhao
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 358-363, 2020
232020
Phased-guard: Multi-phase machine learning framework for detection and identification of zero-day microarchitectural side-channel attacks
H Wang, H Sayadi, G Kolhe, A Sasan, S Rafatirad, H Homayoun
2020 IEEE 38th International Conference on Computer Design (ICCD), 648-655, 2020
212020
Microarchitectural events and image processing-based hybrid approach for robust malware detection: Work-in-progress
S Shukla, G Kolhe, S Rafatirad
Proceedings of the International Conference on Compliers, Architectures and …, 2019
162019
LOCK&ROLL: Deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking
G Kolhe, T Sheaves, KI Gubbi, S Salehi, S Rafatirad, SM PD, A Sasan, ...
Proceedings of the 59th ACM/IEEE Design Automation Conference, 85-90, 2022
142022
Securing hardware via dynamic obfuscation utilizing reconfigurable interconnect and logic blocks
G Kolhe, S Salehi, TD Sheaves, H Homayoun, S Rafatirad, MPD Sai, ...
2021 58th ACM/IEEE Design Automation Conference (DAC), 229-234, 2021
132021
System-level framework for logic obfuscation with quantified metrics for evaluation
VV Menon, G Kolhe, A Schmidt, J Monson, M French, Y Hu, PA Beerel, ...
2019 IEEE Cybersecurity Development (SecDev), 89-100, 2019
122019
With shared microexponents, a little shifting goes a long way
B Darvish Rouhani, R Zhao, V Elango, R Shafipour, M Hall, ...
Proceedings of the 50th Annual International Symposium on Computer …, 2023
112023
Rafel-robust and data-aware federated learning-inspired malware detection in internet-of-things (iot) networks
S Shukla, G Kolhe, H Homayoun, S Rafatirad, SM PD
Proceedings of the Great Lakes Symposium on VLSI 2022, 153-157, 2022
112022
Satconda: Sat to sat-hard clause translator
R Hassan, G Kolhe, S Rafatirad, H Homayoun, SM Dinakarrao
2020 21st International Symposium on Quality Electronic Design (ISQED), 155-160, 2020
112020
Deep graph learning for circuit deobfuscation
Z Chen, L Zhang, G Kolhe, HM Kamali, S Rafatirad, ...
Frontiers in big Data 4, 608286, 2021
92021
A neural network-based cognitive obfuscation toward enhanced logic locking
R Hassan, G Kolhe, S Rafatirad, H Homayoun, SMP Dinakarrao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
82021
Work-in-progress: Microarchitectural events and image processing-based hybrid approach for robust malware detection
S Shukla, G Kolhe, PDS Manoj, S Rafatirad
2019 International Conference on Compliers, Architectures and Synthesis for …, 2019
82019
Breaking the Design and Security Trade-off of Look-up-table–based Obfuscation
G Kolhe, TD Sheaves, H Mahmoodi, S Rafatirad, A Sasan, H Homayoun
ACM Transactions on Design Automation of Electronic Systems (TODAES) 27 (6 …, 2022
62022
Microscaling data formats for deep learning
BD Rouhani, R Zhao, A More, M Hall, A Khodamoradi, S Deng, ...
arXiv preprint arXiv:2310.10537, 2023
52023
Silicon validation of LUT-based logic-locked IP cores
G Kolhe, T Sheaves, KI Gubbi, T Kadale, S Rafatirad, SM PD, A Sasan, ...
Proceedings of the 59th ACM/IEEE Design Automation Conference, 1189-1194, 2022
52022
The system can't perform the operation now. Try again later.
Articles 1–20