Follow
Niraj Jha
Niraj Jha
Other namesNiraj K. Jha
Professor of Electrical and Computer Engineering,Princeton University
Verified email at princeton.edu - Homepage
Title
Cited by
Cited by
Year
Switching and finite automata theory
Z Kohavi, NK Jha
Cambridge University Press, 2009
30242009
GARNET: A detailed on-chip network model inside a full-system simulator
N Agarwal, T Krishna, LS Peh, NK Jha
2009 IEEE international symposium on performance analysis of systems and …, 2009
9522009
A comprehensive study of security of internet-of-things
A Mosenia, NK Jha
IEEE Transactions on emerging topics in computing 5 (4), 586-602, 2016
8432016
Dynamic voltage scaling with links for power optimization of interconnection networks
L Shang, LS Peh, NK Jha
The Ninth International Symposium on High-Performance Computer Architecture …, 2003
6202003
A study of the energy consumption characteristics of cryptographic algorithms and security protocols
NR Potlapally, S Ravi, A Raghunathan, NK Jha
IEEE Transactions on mobile computing 5 (2), 128-143, 2005
5502005
Dreaming to distill: Data-free knowledge transfer via deepinversion
H Yin, P Molchanov, JM Alvarez, Z Li, A Mallya, D Hoiem, NK Jha, J Kautz
Proceedings of the IEEE/CVF conference on computer vision and pattern …, 2020
5382020
Express virtual channels: Towards the ideal interconnection fabric
A Kumar, LS Peh, P Kundu, NK Jha
ACM SIGARCH Computer Architecture News 35 (2), 150-161, 2007
5332007
Testing of digital systems
NK Jha, S Gupta
Cambridge University Press, 2003
5232003
An algorithm for synthesis of reversible logic circuits
P Gupta, A Agrawal, NK Jha
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
4732006
Hijacking an insulin pump: Security attacks and defenses for a diabetes therapy system
C Li, A Raghunathan, NK Jha
2011 IEEE 13th international conference on e-health networking, applications …, 2011
4262011
Analyzing the energy consumption of security protocols
NR Potlapally, S Ravi, A Raghunathan, NK Jha
Proceedings of the 2003 international symposium on Low power electronics and …, 2003
4002003
A 4.6 Tbits/s 3.6 GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS
A Kumar, P Kundu, AP Singh, LS Peh, NK Jha
Computer Design, 2007. ICCD 2007. 25th International Conference on, 63-70, 2007
3742007
High-level power analysis and optimization
A Raghunathan, NK Jha, S Dey
Springer Science & Business Media, 2012
3722012
MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems
RP Dick, NK Jha
IEEE transactions on computer-aided design of integrated circuits and …, 1998
3401998
FinFET circuit design
P Mishra, A Muttreja, NK Jha
Nanoelectronic circuit design, 23-54, 2011
3202011
Chamnet: Towards efficient network design through platform-aware model adaptation
X Dai, P Zhang, B Wu, H Yin, F Sun, Y Wang, M Dukhan, Y Hu, Y Wu, ...
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern …, 2019
3122019
Systematic poisoning attacks on and defenses for machine learning in healthcare
M Mozaffari-Kermani, S Sur-Kolay, A Raghunathan, NK Jha
IEEE journal of biomedical and health informatics 19 (6), 1893-1905, 2014
2852014
NeST: A neural network synthesis tool based on a grow-and-prune paradigm
X Dai, H Yin, NK Jha
IEEE Transactions on Computers 68 (10), 1487-1497, 2019
2692019
Design and synthesis of self-checking VLSI circuits
NK Jha, SJ Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1993
2631993
FinFETs: From devices to architectures
D Bhattacharya, NK Jha
Advances in Electronics 2014 (1), 365689, 2014
2532014
The system can't perform the operation now. Try again later.
Articles 1–20