Follow
Carole-Jean Wu
Title
Cited by
Cited by
Year
Deep learning recommendation model for personalization and recommendation systems
M Naumov, D Mudigere, HJM Shi, J Huang, N Sundaraman, J Park, ...
arXiv preprint arXiv:1906.00091, 2019
6922019
Machine learning at facebook: Understanding inference at the edge
CJ Wu, D Brooks, K Chen, D Chen, S Choudhury, M Dukhan, ...
2019 IEEE international symposium on high performance computer architecture …, 2019
5362019
Mlperf inference benchmark
VJ Reddi, C Cheng, D Kanter, P Mattson, G Schmuelling, CJ Wu, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
4872020
Sustainable ai: Environmental implications, challenges and opportunities
CJ Wu, R Raghavendra, U Gupta, B Acun, N Ardalani, K Maeng, G Chang, ...
Proceedings of Machine Learning and Systems 4, 795-813, 2022
3622022
SHiP: Signature-based hit predictor for high performance caching
CJ Wu, A Jaleel, W Hasenplaugh, M Martonosi, SC Steely Jr, J Emer
Proceedings of the 44th Annual IEEE/ACM International Symposium on …, 2011
3352011
Mlperf training benchmark
P Mattson, C Cheng, G Diamos, C Coleman, P Micikevicius, D Patterson, ...
Proceedings of Machine Learning and Systems 2, 336-349, 2020
3242020
The architectural implications of facebook's dnn-based personalized recommendation
U Gupta, CJ Wu, X Wang, M Naumov, B Reagen, D Brooks, B Cottel, ...
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
2922020
Chasing carbon: The elusive environmental footprint of computing
U Gupta, YG Kim, S Lee, J Tse, HHS Lee, GY Wei, D Brooks, CJ Wu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
2612021
MCM-GPU: Multi-chip-module GPUs for continued performance scalability
A Arunkumar, E Bolotin, B Cho, U Milic, E Ebrahimi, O Villa, A Jaleel, ...
ACM SIGARCH Computer Architecture News 45 (2), 320-332, 2017
2442017
Recnmp: Accelerating personalized recommendation with near-memory processing
L Ke, U Gupta, BY Cho, D Brooks, V Chandra, U Diril, A Firoozshahian, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
2012020
Deeprecsys: A system for optimizing end-to-end at-scale neural recommendation inference
U Gupta, S Hsia, V Saraph, X Wang, B Reagen, GY Wei, HHS Lee, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
1792020
MLPerf: An industry standard benchmark suite for machine learning performance
P Mattson, VJ Reddi, C Cheng, C Coleman, G Diamos, D Kanter, ...
IEEE Micro 40 (2), 8-16, 2020
1662020
PACMan: prefetch-aware cache management for high performance caching
CJ Wu, A Jaleel, M Martonosi, SC Steely Jr, J Emer
Proceedings of the 44th Annual IEEE/ACM International Symposium on …, 2011
1592011
CAWA: Coordinated warp scheduling and cache prioritization for critical warp acceleration of GPGPU workloads
SY Lee, A Arunkumar, CJ Wu
ACM SIGARCH Computer Architecture News 43 (3S), 515-527, 2015
1142015
Papaya: Practical, private, and scalable federated learning
D Huba, J Nguyen, K Malik, R Zhu, M Rabbat, A Yousefpour, CJ Wu, ...
Proceedings of Machine Learning and Systems 4, 814-832, 2022
1122022
ACT: Designing sustainable computer systems with an architectural carbon modeling tool
U Gupta, M Elgamal, G Hills, GY Wei, HHS Lee, D Brooks, CJ Wu
Proceedings of the 49th Annual International Symposium on Computer …, 2022
1012022
CAWS: Criticality-aware warp scheduling for GPGPU workloads
SY Lee, CJ Wu
Proceedings of the 23rd international conference on Parallel architectures …, 2014
992014
RecSSD: near data processing for solid state drive based recommendation inference
M Wilkening, U Gupta, S Hsia, C Trippel, CJ Wu, D Brooks, GY Wei
Proceedings of the 26th ACM International Conference on Architectural …, 2021
952021
Dataperf: Benchmarks for data-centric ai development
M Mazumder, C Banbury, X Yao, B Karlaš, W Gaviria Rojas, S Diamos, ...
Advances in Neural Information Processing Systems 36, 2024
932024
Understanding training efficiency of deep learning recommendation models at scale
B Acun, M Murphy, X Wang, J Nie, CJ Wu, K Hazelwood
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
922021
The system can't perform the operation now. Try again later.
Articles 1–20