Follow
Ashkan Vakil
Ashkan Vakil
George Mason University
Verified email at my.bridgeport.edu
Title
Cited by
Cited by
Year
IR-ATA: IR annotated timing analysis, a flow for closing the loop between PDN design, IR analysis & timing closure
A Vakil, H Homayoun, A Sasan
Proceedings of the 24th Asia and South Pacific Design Automation Conference …, 2019
212019
Lasca: Learning assisted side channel delay analysis for hardware trojan detection
A Vakil, F Behnia, A Mirzaeian, H Homayoun, N Karimi, A Sasan
2020 21st International Symposium on Quality Electronic Design (ISQED), 40-45, 2020
202020
Learning assisted side channel delay test for detection of recycled ics
A Vakil, F Niknia, A Mirzaeian, A Sasan, N Karimi
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
122021
Energy harvesting using Graphene based antenna for UV spectrum
A Vakil, H Bajwa
Systems, Applications and Technology Conference (LISAT), 2014 IEEE Long …, 2014
122014
AVATAR: NN-Assisted Variation Aware Timing Analysis & Reporting for Hardware Trojan Detection
A Vakil, A Mirzaeian, H Homayoun, N Karimi, A Sasan
IEEE Access, 2021
102021
ExTru: A Lightweight, Fast, and Secure Expirable Trust for the Internet of Things
H Mardani Kamali, K Zamiri Azar, S Roshanisefat, A Vakil, A Sasan
14TH IEEE Circuits and System Conference (DCAS), 2020
10*2020
A Reinforced Learning Solution for Clock Skew Engineering to Reduce Peak Current and IR Drop
SA Beheshti-Shirazi, A Vakil, S Manoj, I Savidis, H Homayoun, A Sasan
Proceedings of the 2021 on Great Lakes Symposium on VLSI, 181-187, 2021
62021
Conditional Classification: A Solution for Computational Energy Reduction
A Mirzaeian, S Manoj, A Vakil, H Homayoun, A Sasan
5*
RAPTA: A Hierarchical Representation Learning Solution For Real-Time Prediction of Path-Based Static Timing Analysis
T Chowdhury, A Vakil, B Saber Latibari, S Aresh Beheshti Shirazi, ...
Proceedings of the Great Lakes Symposium on VLSI 2022, 493-500, 2022
32022
Analytical model of Graphene based antenna for energy harvesting applications
A Vakil, H Bajwa
ASEE 2014 Zone I Conference, 2014
22014
Golden-Chip Free Side Channel Delay Analysis Test for Hardware Trojan and Recycled IC Detection
A Vakil
George Mason University, 2021
12021
Fabrication and Mathematical Modeling of SWCNT Scaffold DNA Spiral Nantenna
A Vakil, A Alsharani, C Bach, JM Pallis, H Bajwa
12015
Graphene paper: Spiral DNA origami structure
A Vakil
2020 Northeast Section Meeting, 2021
2021
Cluster-Based Partitioning of Convolutional Neural Networks, A Solution for Computational Energy and Complexity Reduction.
A Mirzaeian, M PourReza, M Sabokrou, A Vakil, T Mohsenin, ...
CoRR, 2020
2020
A Side Channel Delay Analysis for Hardware Trojan Detection
A Vakil
2020
Mathematical Analysis and Design of Carbon Nanotubes based Nantennas
A Vakil
2019
The system can't perform the operation now. Try again later.
Articles 1–16