Follow
Carlos Álvarez
Carlos Álvarez
Verified email at upc.edu
Title
Cited by
Cited by
Year
Fuzzy memoization for floating-point multimedia applications
C Alvarez, J Corbal, M Valero
IEEE Transactions on Computers 54 (7), 922-927, 2005
2162005
Hybrid dataflow/von-Neumann architectures
F Yazdanpanah, C Alvarez-Martinez, D Jimenez-Gonzalez, Y Etsion
IEEE Transactions on Parallel and Distributed Systems 25 (6), 1489-1509, 2013
892013
Dynamic tolerance region computing for multimedia
C Alvarez, J Corbal, M Valero
IEEE Transactions on Computers 61 (5), 650-665, 2012
432012
Application acceleration on fpgas with ompss@ fpga
J Bosch, X Tan, A Filgueras, M Vidal, M Mateu, D Jiménez-González, ...
2018 International Conference on Field-Programmable Technology (FPT), 70-77, 2018
412018
Ompss@ zynq all-programmable soc ecosystem
A Filgueras, E Gil, D Jimenez-Gonzalez, C Alvarez, X Martorell, J Langer, ...
Proceedings of the 2014 ACM/SIGDA international symposium on Field …, 2014
392014
The AXIOM software layers
C Alvarez, E Ayguade, J Bosch, J Bueno, A Cherkashin, A Filgueras, ...
Microprocessors and Microsystems 47, 262-277, 2016
312016
Picos: A hardware runtime architecture support for ompss
F Yazdanpanah, C Álvarez, D Jiménez-González, RM Badia, M Valero
Future Generation Computer Systems 53, 130-139, 2015
302015
General purpose task-dependence management hardware for task-based dataflow programming models
X Tan, J Bosch, M Vidal, C Álvarez, D Jiménez-González, E Ayguadé, ...
2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2017
292017
The social and environmental impact of engineering solutions: from the lab to the real world
D Franquesa, JL Cruz, C Alvarez, F Sánchez, A Fernandez, D López
International Journal of Engineering Education 26 (5), 1144, 2010
282010
Performance analysis of a hardware accelerator of dependence management for task-based dataflow programming models
X Tan, J Bosch, D Jiménez-González, C Álvarez-Martínez, E Ayguadé, ...
2016 IEEE International Symposium on Performance Analysis of Systems and …, 2016
262016
Volver a empezar: cirugía electiva durante la pandemia del SARS-CoV2. Recomendaciones desde la Asociación Colombiana de Cirugía
AJ Barrios, R Prieto, L Torregrosa, C Álvarez, JD Hernández, ...
Revista Colombiana de Cirugía 35 (2), 302-321, 2020
252020
The AXIOM project (agile, extensible, fast i/o module)
D Theodoropoulos, D Pnevmatikatos, C Alvarez, E Ayguade, J Bueno, ...
2015 International Conference on Embedded Computer Systems: Architectures …, 2015
252015
Analysis of the task superscalar architecture hardware design
F Yazdanpanah, D Jimenez-Gonzalez, C Alvarez-Martinez, Y Etsion, ...
Procedia Computer Science 18, 339-348, 2013
252013
Exploiting parallelism on GPUs and FPGAs with OmpSs
J Bosch, A Filgueras, M Vidal, D Jimenez-Gonzalez, C Alvarez, ...
Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for …, 2017
232017
On the potential of tolerant region reuse for multimedia applications
C Alvarez, J Corbal, E Salamí, M Valero
Proceedings of the 15th international conference on Supercomputing, 218-228, 2001
212001
OmpSs@ FPGA framework for high performance FPGA computing
JM De Haro, J Bosch, A Filgueras, M Vidal, D Jiménez-González, ...
IEEE Transactions on Computers 70 (12), 2029-2042, 2021
202021
Adding tightly-integrated task scheduling acceleration to a RISC-V multi-core processor
L Morais, V Silva, A Goldman, C Alvarez, J Bosch, M Frank, G Araujo
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
202019
Fpga-based prototype of the task superscalar architecture
F Yazdanpanah, D Jimenez-Gonzalez, C Alvarez-Martinez, Y Etsion, ...
7th HiPEAC Workshop on Reconfigurable Computing (WRC 2013), Berlin, Germany, 2013
172013
A hardware runtime for task-based programming models
X Tan, J Bosch, C Álvarez, D Jiménez-González, E Ayguadé, M Valero
IEEE Transactions on Parallel and Distributed Systems 30 (9), 1932-1946, 2019
152019
The AXIOM platform for next-generation cyber physical systems
D Theodoropoulos, S Mazumdar, E Ayguade, N Bettin, J Bueno, S Ermini, ...
Microprocessors and Microsystems 52, 540-555, 2017
152017
The system can't perform the operation now. Try again later.
Articles 1–20