Follow
Alon Amid
Alon Amid
Unknown affiliation
Verified email at berkeley.edu
Title
Cited by
Cited by
Year
FireSim: FPGA-accelerated cycle-exact scale-out system simulation in the public cloud
S Karandikar, H Mao, D Kim, D Biancolin, A Amid, D Lee, N Pemberton, ...
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
2772018
Chipyard: Integrated design, simulation, and implementation framework for custom socs
A Amid, D Biancolin, A Gonzalez, D Grubb, S Karandikar, H Liew, ...
IEEE Micro 40 (4), 10-21, 2020
2152020
Gemmini: Enabling systematic deep-learning architecture evaluation via full-stack integration
H Genc, S Kim, A Amid, A Haj-Ali, V Iyer, P Prakash, J Zhao, D Grubb, ...
2021 58th ACM/IEEE Design Automation Conference (DAC), 769-774, 2021
1652021
Gemmini: An agile systolic array generator enabling systematic evaluations of deep-learning architectures
H Genc, A Haj-Ali, V Iyer, A Amid, H Mao, J Wright, C Schmidt, J Zhao, ...
arXiv preprint arXiv:1911.09925 3, 25, 2019
872019
Co-design of deep neural nets and neural net accelerators for embedded vision applications
K Kwon, A Amid, A Gholami, B Wu, K Asanovic, K Keutzer
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
412018
FirePerf: FPGA-accelerated full-system hardware/software performance profiling and co-design
S Karandikar, A Ou, A Amid, H Mao, R Katz, B Nikolić, K Asanović
Proceedings of the Twenty-Fifth International Conference on Architectural …, 2020
322020
Firemarshal: Making hw/sw co-design reproducible and reliable
N Pemberton, A Amid
2021 IEEE International Symposium on Performance Analysis of Systems and …, 2021
132021
A 16mm2 106.1 GOPS/W Heterogeneous RISC-V Multi-Core Multi-Accelerator SoC in Low-Power 22nm FinFET
A Gonzalez, J Zhao, B Korpan, H Genc, C Schmidt, J Wright, A Biswas, ...
ESSCIRC 2021-IEEE 47th European Solid State Circuits Conference (ESSCIRC …, 2021
122021
Yakun Sophia Shao, Borivoje Nikolic, Ion Stoica, and Krste Asanovic. 2019. Gemmini: An agile systolic array generator enabling systematic evaluations of deep-learning …
H Genc, A Haj-Ali, V Iyer, A Amid, H Mao, J Wright, C Schmidt, J Zhao, ...
arXiv preprint arXiv:1911.09925, 0
11
Policy based role centric attribute based access control model policy RC-ABAC
V Varadharajan, A Amid, S Rai
2015 International Conference on Computing and Network Communications …, 2015
102015
Programmable fine-grained power management and system analysis of RISC-V vector processors in 28-nm FD-SOI
C Schmidt, A Amid, J Wright, B Keller, H Mao, K Settaluri, J Salomaa, ...
IEEE Solid-State Circuits Letters 3, 210-213, 2020
82020
Vertically integrated computing labs using open-source hardware generators and cloud-hosted fpgas
A Amid, A Ou, K Asanović, YS Shao, B Nikolić
2021 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2021
72021
Accessible, FPGA resource-optimized simulation of multiclock systems in firesim
D Biancolin, A Magyar, S Karandikar, A Amid, B Nikolić, J Bachrach, ...
IEEE Micro 41 (4), 58-66, 2021
62021
Chipyard-An integrated SoC research and implementation environment
A Amid, D Biancolin, A Gonzalez, D Grubb, S Karandikar, H Liew, ...
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
42020
COBRA: A framework for evaluating compositions of hardware branch predictors
J Zhao, A Gonzalez, A Amid, S Karandikar, K Asanović
2021 IEEE International Symposium on Performance Analysis of Systems and …, 2021
32021
Nested-parallelism pagerank on risc-v vector multi-processors
A Amid, A Ou, K Asanović, B Nikolić
Third_Workshop_on_Computer_Architecture_Research_with_RISC-V_ (CARRV_2019 …, 2019
32019
Lab 2: Systolic arrays and dataflows
A Amid, H Genc
EE290-2 Hardware for Machine Learning, 2020
22020
Using FireSim to Enable Agile End-to-End RISC-V Computer Architecture Research
S Karandikar, D Biancolin, A Amid, N Pemberton, A Ou, R Katz, B Nikolic, ...
12019
Preventing Babel: Rectifying the Trend of Programming Language Divergence
A Amid, B Nikolic
The 8th Workshop on Evaluation and Usability of Programming Languages and …, 2017
12017
Accelerator Integration for Open-Source SoC Design
D Biancolin, A Magyar, S Karandikar, A Amid, B Nikolic, J Bachrach, ...
IEEE MICRO 41 (4), 58-66, 2021
2021
The system can't perform the operation now. Try again later.
Articles 1–20