Follow
Trevor Mudge
Trevor Mudge
Bredt Family Professor of Engineering, University of Michigan
Verified email at eecs.umich.edu - Homepage
Title
Cited by
Cited by
Year
MiBench: A free, commercially representative embedded benchmark suite
MR Guthaus, JS Ringenberg, D Ernst, TM Austin, T Mudge, RB Brown
Proceedings of the fourth annual IEEE international workshop on workload …, 2001
48702001
Razor: A low-power pipeline based on circuit-level timing speculation
D Ernst, NS Kim, S Das, S Pant, R Rao, T Pham, C Ziesler, D Blaauw, ...
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
17822003
Leakage current: Moore's law meets static power
NS Kim, T Austin, D Baauw, T Mudge, K Flautner, JS Hu, MJ Irwin, ...
computer 36 (12), 68-75, 2003
17682003
Neurosurgeon: Collaborative intelligence between the cloud and mobile edge
Y Kang, J Hauswald, C Gao, A Rovinski, T Mudge, J Mars, L Tang
ACM SIGARCH Computer Architecture News 45 (1), 615-629, 2017
12602017
Drowsy caches: simple techniques for reducing leakage power
K Flautner, NS Kim, S Martin, D Blaauw, T Mudge
ACM SIGARCH Computer architecture news 30 (2), 148-157, 2002
12262002
Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits
RG Dreslinski, M Wieckowski, D Blaauw, D Sylvester, T Mudge
Proceedings of the IEEE 98 (2), 253-266, 2010
11262010
Power: A first-class architectural design constraint
T Mudge
Computer 34 (4), 52-58, 2001
7412001
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads
SM Martin, K Flautner, T Mudge, D Blaauw
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided …, 2002
6802002
A self-tuning DVS processor using delay-error detection and correction
S Das, D Roberts, S Lee, S Pant, D Blaauw, T Austin, K Flautner, T Mudge
IEEE Journal of Solid-State Circuits 41 (4), 792-804, 2006
5812006
Disaggregated memory for expansion and sharing in blade servers
K Lim, J Chang, T Mudge, P Ranganathan, SK Reinhardt, TF Wenisch
ACM SIGARCH computer architecture news 37 (3), 267-278, 2009
5712009
Razor: circuit-level correction of timing errors for low-power operation
D Ernst, S Das, S Lee, D Blaauw, T Austin, T Mudge, NS Kim, K Flautner
IEEE Micro 24 (6), 10-20, 2004
5402004
Trace-driven memory simulation: A survey
RA Uhlig, TN Mudge
ACM Computing Surveys (CSUR) 29 (2), 128-170, 1997
4701997
A survey of multicore processors
G Blake, RG Dreslinski, T Mudge
IEEE Signal Processing Magazine 26 (6), 26-37, 2009
4552009
Improving NAND flash based disk caches
T Kgil, D Roberts, T Mudge
ACM SIGARCH Computer Architecture News 36 (3), 327-338, 2008
3932008
Automatic performance setting for dynamic voltage scaling
K Flautner, S Reinhardt, T Mudge
Proceedings of the 7th annual international conference on Mobile computing …, 2001
3932001
Recognizing partially occluded parts
JL Turney, TN Mudge, RA Volz
IEEE Transactions on Pattern Analysis and Machine Intelligence, 410-421, 1985
3531985
Improving data cache performance by pre-executing instructions under a cache miss
J Dundas, T Mudge
Proceedings of the 11th international conference on Supercomputing, 68-75, 1997
3501997
The bi-mode branch predictor
CC Lee, ICK Chen, TN Mudge
Proceedings of 30th Annual International Symposium on Microarchitecture, 4-13, 1997
3371997
Improving code density using compression techniques
C Lefurgy, P Bird, IC Chen, T Mudge
Proceedings of 30th Annual International Symposium on Microarchitecture, 194-203, 1997
3361997
Sirius: An open end-to-end voice and vision personal assistant and its implications for future warehouse scale computers
J Hauswald, MA Laurenzano, Y Zhang, C Li, A Rovinski, A Khurana, ...
Proceedings of the Twentieth International Conference on Architectural …, 2015
3342015
The system can't perform the operation now. Try again later.
Articles 1–20