Follow
Joel Emer
Joel Emer
Professor of the Practice, MIT - Sr. Distinguished Research Scientist, Nvidia
Verified email at csail.mit.edu - Homepage
Title
Cited by
Cited by
Year
Efficient processing of deep neural networks: A tutorial and survey
V Sze, YH Chen, TJ Yang, JS Emer
Proceedings of the IEEE 105 (12), 2295-2329, 2017
38222017
Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks
YH Chen, T Krishna, JS Emer, V Sze
IEEE journal of solid-state circuits 52 (1), 127-138, 2016
35522016
Eyeriss: A spatial architecture for energy-efficient dataflow for convolutional neural networks
YH Chen, J Emer, V Sze
ACM SIGARCH computer architecture news 44 (3), 367-379, 2016
17862016
SCNN: An accelerator for compressed-sparse convolutional neural networks
A Parashar, M Rhu, A Mukkara, A Puglielli, R Venkatesan, B Khailany, ...
ACM SIGARCH computer architecture news 45 (2), 27-40, 2017
13202017
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
SS Mukherjee, C Weaver, J Emer, SK Reinhardt, T Austin
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
12892003
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
DM Tullsen, SJ Eggers, JS Emer, HM Levy, JL Lo, RL Stamm
Proceedings of the 23rd annual international symposium on Computer …, 1996
12211996
Adaptive insertion policies for high performance caching
MK Qureshi, A Jaleel, YN Patt, SC Steely, J Emer
ACM SIGARCH Computer Architecture News 35 (2), 381-391, 2007
9672007
High performance cache replacement using re-reference interval prediction (RRIP)
A Jaleel, KB Theobald, SC Steely Jr, J Emer
ACM SIGARCH computer architecture news 38 (3), 60-71, 2010
9582010
Eyeriss v2: A flexible accelerator for emerging deep neural networks on mobile devices
YH Chen, TJ Yang, J Emer, V Sze
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 9 (2 …, 2019
9092019
Simultaneous multithreading: A platform for next-generation processors
SJ Eggers, JS Emer, HM Levy, JL Lo, RL Stamm, DM Tullsen
IEEE micro 17 (5), 12-19, 1997
7141997
The soft error problem: An architectural perspective
SS Mukherjee, J Emer, SK Reinhardt
11th International Symposium on High-Performance Computer Architecture, 243-247, 2005
5892005
Understanding error propagation in deep learning neural network (DNN) accelerators and applications
G Li, SKS Hari, M Sullivan, T Tsai, K Pattabiraman, J Emer, SW Keckler
Proceedings of the International Conference for High Performance Computing …, 2017
5122017
Memory dependence prediction using store sets
GZ Chrysos, JS Emer
ACM SIGARCH Computer Architecture News 26 (3), 142-153, 1998
4741998
Scheduling heterogeneous multi-cores through performance impact estimation (PIE)
K Van Craeynest, A Jaleel, L Eeckhout, P Narvaez, J Emer
ACM SIGARCH Computer Architecture News 40 (3), 213-224, 2012
4402012
Adaptive insertion policies for managing shared caches
A Jaleel, W Hasenplaugh, M Qureshi, J Sebot, S Steely Jr, J Emer
Proceedings of the 17th international conference on Parallel architectures …, 2008
4212008
Hardware for machine learning: Challenges and opportunities
V Sze, YH Chen, J Emer, A Suleiman, Z Zhang
2017 IEEE custom integrated circuits conference (CICC), 1-8, 2017
4092017
Techniques to reduce the soft error rate of a high-performance microprocessor
C Weaver, J Emer, SS Mukherjee, SK Reinhardt
ACM SIGARCH Computer Architecture News 32 (2), 264, 2004
3832004
Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading
JL Lo, JS Emer, HM Levy, RL Stamm, DM Tullsen, SJ Eggers
ACM Transactions on Computer Systems (TOCS) 15 (3), 322-354, 1997
3801997
There’s plenty of room at the Top: What will drive computer performance after Moore’s law?
CE Leiserson, NC Thompson, JS Emer, BC Kuszmaul, BW Lampson, ...
Science 368 (6495), eaam9744, 2020
3602020
Timeloop: A systematic approach to dnn accelerator evaluation
A Parashar, P Raina, YS Shao, YH Chen, VA Ying, A Mukkara, ...
2019 IEEE international symposium on performance analysis of systems and …, 2019
3562019
The system can't perform the operation now. Try again later.
Articles 1–20