Follow
Li Xu
Title
Cited by
Cited by
Year
Recryptor: A reconfigurable cryptographic cortex-M0 processor with in-memory and near-memory computing for IoT security
Y Zhang, L Xu, Q Dong, J Wang, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 53 (4), 995-1005, 2018
1062018
An analog front-end chip with self-calibrated input impedance for monitoring of biosignals via dry electrode-skin interfaces
CH Chang, SA Zahrai, K Wang, L Xu, I Farah, M Onabajo
IEEE Transactions on Circuits and Systems I: Regular Papers 64 (10), 2666-2678, 2017
442017
Recryptor: A reconfigurable in-memory cryptographic Cortex-M0 processor for IoT
Y Zhang, L Xu, K Yang, Q Dong, S Jeloka, D Blaauw, D Sylvester
2017 Symposium on VLSI Circuits, C264-C265, 2017
422017
Energy-efficient motion-triggered IoT CMOS image sensor with capacitor array-assisted charge-injection SAR ADC
KD Choo, L Xu, Y Kim, JH Seol, X Wu, D Sylvester, D Blaauw
IEEE Journal of Solid-State Circuits 54 (11), 2921-2931, 2019
372019
5.2 energy-efficient low-noise CMOS image sensor with capacitor array-assisted charge-injection SAR ADC for motion-triggered low-power IoT applications
KD Choo, L Xu, Y Kim, JH Seol, X Wu, D Sylvester, D Blaauw
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 96-98, 2019
352019
A 0.77 mW 2.4 GHz RF Front-End With 4.5 dBm In-Band IIP3 Through Inherent Filtering
L Xu, CH Chang, M Onabajo
IEEE Microwave and Wireless Components Letters 26 (5), 352-354, 2016
252016
RRAM-DNN: An RRAM and model-compression empowered all-weights-on-chip DNN accelerator
Z Li, Z Wang, L Xu, Q Dong, B Liu, CI Su, WT Chu, G Tsou, YD Chih, ...
IEEE Journal of Solid-State Circuits 56 (4), 1105-1115, 2020
242020
A low-power temperature-compensated relaxation oscillator for built-in test signal generation
L Xu, M Onabajo
2015 IEEE 58th international midwest symposium on circuits and systems …, 2015
122015
Inductorless linearization of low-power active mixers
L Xu, K Wang, CH Chang, M Onabajo
2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2213-2216, 2015
122015
An all-weights-on-chip dnn accelerator in 22nm ull featuring 24× 1 mb erram
Z Wang, Z Li, L Xu, Q Dong, CI Su, WT Chu, G Tsou, YD Chih, TYJ Chang, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
112020
3.3 A 0.51 nW 32kHz crystal oscillator achieving 2ppb allan deviation floor using high-energy-to-noise-ratio pulse injection
L Xu, T Jang, J Lim, K Choo, D Blaauw, D Sylvester
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 62-64, 2020
112020
Test signal generation for the calibration of analog front-end circuits in biopotential measurement applications
L Xu, J Feng, Y Ni, M Onabajo
2014 IEEE 57th International Midwest Symposium on Circuits and Systems …, 2014
112014
A 210× 340× 50µm Integrated CMOS System f0r Micro-Robots with Energy Harvesting, Sensing, Processing, Communication and Actuation.
L Xu, M Lassiter, X Wu, Y Kim, J Lee, M Yasuda, M Kawaminami, ...
ISSCC, 1-3, 2022
82022
Instrumentation amplifier and current injection circuit design for input impedance boosting in biopotential and bioimpedance measurements
C Chang, L Xu, M Onabajo
Analog Integrated Circuits and Signal Processing 88, 289-302, 2016
82016
On-chip digital calibration for automatic input impedance boosting during biopotential measurements
SA Zahrai, L Xu, C Chang, K Wang, I Farah, M Onabajo
2015 IEEE 58th International Midwest Symposium on Circuits and Systems …, 2015
82015
A 184nW, 121µg/√ Hz Noise Floor Triaxial MEMS Accelerometer with Integrated CMOS Readout Circuit and Variation-Compensated High Voltage MEMS Biasing
Y Peng, S Jeong, K Choo, Y Kim, LY Chen, R Rothe, L Xu, I Gurin, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
62022
An analog-assisted digital LDO with single subthreshold output pMOS achieving 1.44-fs FOM
L Xu, K Choo, D Blaauw, D Sylvester
IEEE Solid-State Circuits Letters 4, 154-157, 2021
62021
A 510-pW 32-kHz crystal oscillator with high energy-to-noise-ratio pulse injection
L Xu, T Jang, J Lim, KD Choo, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 57 (2), 434-451, 2021
62021
Audio and image cross-modal intelligence via a 10tops/w 22nm soc with back-propagation and dynamic power gating
Z Fan, H An, Q Zhang, B Xu, L Xu, CW Tseng, Y Peng, A Cao, B Liu, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
52022
A 43 nW, 32 kHz,±4.2 ppm Piecewise Linear Temperature-Compensated Crystal Oscillator With ΔΣ-Modulated Load Capacitance
S Park, JH Seol, L Xu, S Cho, D Sylvester, D Blaauw
IEEE Journal of Solid-State Circuits 57 (4), 1175-1186, 2022
52022
The system can't perform the operation now. Try again later.
Articles 1–20