Follow
Jialiang Zhang
Title
Cited by
Cited by
Year
Improving the performance of OpenCL-based FPGA accelerator for convolutional neural network
J Zhang, J Li
Proceedings of the 2017 ACM/SIGDA International Symposium on Field …, 2017
2692017
Extending mobile interaction through near-field visible light sensing
C Zhang, J Tabor, J Zhang, X Zhang
Proceedings of the 21st Annual International Conference on Mobile Computing …, 2015
1222015
Boosting the performance of FPGA-based graph processor using hybrid memory cube: A case for breadth first search
J Zhang, S Khoram, J Li
Proceedings of the 2017 ACM/SIGDA International Symposium on Field …, 2017
832017
OpenMili: A 60 GHz software radio platform with a reconfigurable phased-array antenna
J Zhang, X Zhang, P Kulkarni, P Ramanathan
Proceedings of the 22nd Annual International Conference on Mobile Computing …, 2016
772016
Degree-aware hybrid graph traversal on FPGA-HMC platform
J Zhang, J Li
Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
532018
Dancing with light: Predictive in-frame rate selection for visible light networks
J Zhang, X Zhang, G Wu
2015 IEEE Conference on Computer Communications (INFOCOM), 2434-2442, 2015
532015
Accelerating graph analytics by co-optimizing storage and access on an FPGA-HMC platform
S Khoram, J Zhang, M Strange, J Li
Proceedings of the 2018 ACM/SIGDA International Symposium on Field …, 2018
482018
Challenges and opportunities: From near-memory computing to in-memory computing
S Khoram, Y Zha, J Zhang, J Li
Proceedings of the 2017 ACM on International Symposium on Physical Design, 43-46, 2017
482017
Efficient large-scale approximate nearest neighbor search on OpenCL FPGA
J Zhang, S Khoram, J Li
Proceedings of the IEEE Conference on Computer Vision and Pattern …, 2018
382018
MEG: A RISCV-based system emulation infrastructure for near-data processing using FPGAs and high-bandwidth memory
J Zhang, Y Zha, N Beckwith, B Liu, J Li
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 13 (4), 1-24, 2020
192020
Towards a visible light network architecture for continuous communication and localization
J Zhang, C Zhang, X Zhang, S Banerjee
Proceedings of the 3rd Workshop on Visible Light Communication Systems, 49-54, 2016
192016
Utility-maximization resource allocation for device-to-device communication underlaying cellular networks
J Zhang, G Wu, W Xiong, Z Chen, S Li
2013 IEEE Globecom Workshops (GC Wkshps), 623-628, 2013
142013
RESIDUAL QUANTIZATION FOR NEURAL NETWORKS
ES Chung, D Lo, J Zhang, R Zhao
US Patent 11,586,883, 2023
132023
Neural Network Processor with On-Chip Convolution Kernel Storage
J Li, J Zhang
US Patent App. 15/887,367, 2019
112019
Computer architecture for high-speed, graph-traversal
J Li, J Zhang
US Patent 10,747,433, 2020
102020
Location aware communication system using visible light transmission
X Zhang, S Banerjee, J Zhang, C Zhang
US Patent 9,712,234, 2017
72017
MEG: A RISCV-based system simulation infrastructure for exploring memory optimization using FPGAs and hybrid memory cube
J Zhang, Y Liu, G Jain, Y Zha, J Ta, J Li
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom …, 2019
62019
Matrix Processor with Localized Memory
J Li, J Zhang
US Patent App. 15/333,696, 2018
62018
Unleashing the power of soft logic for convolutional neural network acceleration via product quantization
J Zhang, J Li
Proceedings of the 2019 ACM/SIGDA International Symposium on Field …, 2019
52019
Gordon: Benchmarking optane dc persistent memory modules on fpgas
J Zhang, N Beckwith, JJ Li
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom …, 2021
42021
The system can't perform the operation now. Try again later.
Articles 1–20