Follow
Ruby B. Lee
Ruby B. Lee
Forrest G. Hamrick Professor of Electrical Engineering, also Computer Science
Verified email at princeton.edu - Homepage
Title
Cited by
Cited by
Year
Last-level cache side-channel attacks are practical
F Liu, Y Yarom, Q Ge, G Heiser, RB Lee
2015 IEEE symposium on security and privacy, 605-622, 2015
13262015
New cache designs for thwarting software cache-based side channel attacks
Z Wang, RB Lee
IEEE/ACM International Symposium on Computer Architecture (ISCA); also ACM …, 2007
7952007
Security as a new dimension in embedded system design
P Kocher, R Lee, G McGraw, A Raghunathan
Proceedings of the 41st annual design automation conference, 753-760, 2004
6072004
Taxonomies of distributed denial of service networks, attacks, tools and countermeasures
S Specht, R Lee
CEL2003-03, Princeton University, Princeton, NJ, USA, 2003
5662003
Taxonomies of distributed denial of service networks, attacks, tools and countermeasures
S Specht, R Lee
CEL2003-03, Princeton University, Princeton, NJ, USA, 2003
5662003
Subword parallelism with MAX-2
RB Lee
IEEE micro 16 (4), 51-59, 1996
5231996
Catalyst: Defeating last-level cache side channel attacks in cloud computing
F Liu, Q Ge, Y Yarom, F Mckeen, C Rozas, G Heiser, RB Lee
2016 IEEE international symposium on high performance computer architecture …, 2016
4962016
Accelerating multimedia with enhanced microprocessors
RB Lee
Micro, IEEE 15 (2), 22-32, 1995
4181995
Eliminating the hypervisor attack surface for a more secure cloud
J Szefer, E Keller, RB Lee, J Rexford
ACM conference on Computer and Communications Security (CCS), 401-412, 2011
4042011
Covert and side channels due to processor architecture
Z Wang, RB Lee
2006 22nd Annual Computer Security Applications Conference (ACSAC'06), 473-482, 2006
3762006
NoHype: virtualized cloud infrastructure without the virtualization
E Keller, J Szefer, J Rexford, RB Lee
IEEE/ACM International Symposium on Computer Architecture (ISCA); also ACM …, 2010
3732010
A novel cache architecture with enhanced performance and security
Z Wang, RB Lee
IEEE/ACM International Symposium on Microarchitecture, 83-93, 2008
3572008
Random fill cache architecture
F Liu, RB Lee
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 203-215, 2014
3102014
System and method for processor-based security
RB Lee, C David
US Patent 8,738,932, 2014
3052014
Architecture for protecting critical secrets in microprocessors
RB Lee, PCS Kwan, JP McGregor, J Dwoskin, Z Wang
IEEE/ACM International Symposium on Computer Architecture (ISCA), 2-13, 2005
3052005
Cloudradar: A real-time side-channel attack detection system in clouds
T Zhang, Y Zhang, RB Lee
Research in Attacks, Intrusions, and Defenses: 19th International Symposium …, 2016
2832016
Characterizing hypervisor vulnerabilities in cloud computing servers
D Perez-Botero, J Szefer, RB Lee
Proceedings of the 2013 international workshop on Security in cloud …, 2013
2702013
Model inversion attacks against collaborative inference
Z He, T Zhang, RB Lee
Proceedings of the 35th Annual Computer Security Applications Conference …, 2019
2522019
Scalable architectural support for trusted software
D Champagne, RB Lee
HPCA-16 2010 The Sixteenth International Symposium on High-Performance …, 2010
2482010
Precision architecture
RB Lee
Computer 22 (01), 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91-78 …, 1989
2341989
The system can't perform the operation now. Try again later.
Articles 1–20