追蹤
Pen-Jui Peng
標題
引用次數
引用次數
年份
60-GHz four-element phased-array transmit/receive system-in-package using phase compensation techniques in 65-nm flip-chip CMOS process
JL Kuo, YF Lu, TY Huang, YL Chang, YK Hsieh, PJ Peng, IC Chang, ...
IEEE transactions on microwave theory and techniques 60 (3), 743-756, 2012
1672012
Design of 56 Gb/s NRZ and PAM4 SerDes transceivers in CMOS technologies
J Lee, PC Chiang, PJ Peng, LY Chen, CC Weng
IEEE Journal of Solid-State Circuits 50 (9), 2061-2073, 2015
1562015
6.1 a 56Gb/s PAM-4/NRZ transceiver in 40nm CMOS
PJ Peng, JF Li, LY Chen, J Lee
2017 IEEE International Solid-State Circuits Conference (ISSCC), 110-111, 2017
1102017
A 94 GHz 3D image radar engine with 4TX/4RX beamforming scan technique in 65 nm CMOS technology
PJ Peng, PN Chen, C Kao, YL Chen, J Lee
IEEE Journal of Solid-State Circuits 50 (3), 656-668, 2015
562015
A 94GHz 3D-image radar engine with 4TX/4RX beamforming scan technique in 65nm CMOS
PN Chen, PJ Peng, C Kao, YL Chen, J Lee
2013 IEEE International Solid-State Circuits Conference Digest of Technical …, 2013
312013
6.7 A 112Gb/s PAM-4 Voltage-Mode Transmitter with 4-Tap Two-Step FFE and Automatic Phase Alignment Techniques in 40nm CMOS
PJ Peng, YT Chen, ST Lai, CH Chen, HE Huang, T Shih
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 124-125, 2019
212019
A 57-66 GHz vector sum phase shifter with low phase/amplitude error using a wilkinson power divider with LHTL/RHTL elements
PJ Peng, JC Kao, H Wang
2011 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), 1-4, 2011
212011
A 112-Gb/s PAM-4 voltage-mode transmitter with four-tap two-step FFE and automatic phase alignment techniques in 40-nm CMOS
PJ Peng, YT Chen, ST Lai, HE Huang
IEEE Journal of Solid-State Circuits 56 (7), 2123-2131, 2020
162020
6.8 A 100Gb/s NRZ transmitter with 8-Tap FFE using a 7b DAC in 40nm CMOS
PJ Peng, ST Lai, WH Wang, CW Lin, WC Huang, T Shih
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 130-132, 2020
142020
A 94GHz duobinary keying wireless transceiver in 65nm CMOS
YL Chen, C Kao, PJ Peng, J Lee
2014 Symposium on VLSI Circuits Digest of Technical Papers, 1-2, 2014
92014
A 50-Gb/s quarter-rate voltage-mode transmitter with three-tap FFE in 40-nm CMOS
PJ Peng, YT Chen, CH Chen, ST Lai, HE Huang, HH Lu, TC Yu
ESSCIRC 2018-IEEE 44th European Solid State Circuits Conference (ESSCIRC …, 2018
82018
A 56-Gb/s PAM-4 transmitter/receiver chipset with nonlinear FFE for VCSEL-based optical links in 40-nm CMOS
PJ Peng, PL Lee, HE Huang, WJ Huang, MW Lin, YZ Juang, SH Tseng
IEEE Journal of Solid-State Circuits 57 (10), 3025-3035, 2022
72022
A 12–14.5-GHz 10.2-mW −249-dB FoM Fractional-N Subsampling PLL With a High-Linearity Phase Interpolator in 40-nm CMOS
YT Chen, PJ Peng, HW Lin
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 30 (5), 634-643, 2022
52022
A 79-GHz bidirectional pulse radar system with injection-regenerative receiver in 65 nm CMOS
PJ Peng, C Kao, CY Wu, J Lee
2014 IEEE Radio Frequency Integrated Circuits Symposium, 303-306, 2014
52014
A 56-Gb/s PAM-4 optical transceiver with nonlinear FFE for VCSEL driver in 40nm CMOS
PJ Peng, HE Huang, WC Huang, PL Lee, MW Lin, YZ Juang, SH Tseng
2021 IEEE Asian Solid-State Circuits Conference (A-SSCC), 1-3, 2021
42021
Radio frequency transmitting device and radio frequency receiving device
J Lee, PJ Peng, PN Chen
US Patent 9,154,167, 2015
42015
CW/FMCW/pulse radar engines for 24/26GHz multi-standard applications in 65nm CMOS
LY Chen, PJ Peng, C Kao, YL Chen, J Lee
2015 IEEE Asian Solid-State Circuits Conference (A-SSCC), 1-4, 2015
32015
A 100-Gb/s PAM-4 Voltage-Mode Transmitter With High-Resolution Unsegmented Three-Tap FFE in 40-nm CMOS
YT Chen, PJ Peng, HW Lin
IEEE Solid-State Circuits Letters 5, 218-221, 2022
12022
7.7 A 2.16 pJ/b 112Gb/s PAM-4 Transceiver with Time-Interleaved 2b/3b ADCs and Unbalanced Baud-Rate CDR for XSR Applications in 28nm CMOS
YP Lin, PJ Peng, CC Lu, PT Shen, YC Jao, PH Hsieh
2024 IEEE International Solid-State Circuits Conference (ISSCC) 67, 136-138, 2024
2024
Design of ultra-high-speed Transmitters Beyond 100Gb/s in CMOS Technology
PJ Peng
2022 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 1-1, 2022
2022
系統目前無法執行作業,請稍後再試。
文章 1–20